Welcome![Sign In][Sign Up]
Location:
Search - ps2 vhdl

Search list

[Other resourcePS2_verilog_source

Description: 在vhdl开发环境下,关于协议PS2 verilog 源码
Platform: | Size: 831 | Author: clwclwclw | Hits:

[Communicationps2_keyboard

Description: 用 vhdl 编写的ps2 keyboard 的接口程序-prepared using VHDL ps2 keyboard interface procedures
Platform: | Size: 7747 | Author: 王晓杰 | Hits:

[WEB CodeTetrix

Description: 采用VHDL语言写的PS2键盘/素标控制程序,-using VHDL wrote PS2 keyboard/S-control procedures, ..............................................
Platform: | Size: 246784 | Author: huxin | Hits:

[Program docPS2_Procotl_kebard_mouse

Description: 该文详细介绍PS/2协议,和基于该协议的鼠标和键盘通信规范-The article detailed PS/2 agreement and the agreement on the mouse and keyboard communication specifications. .
Platform: | Size: 617472 | Author: chesnu | Hits:

[VHDL-FPGA-Verilogps2_verilog

Description: ps2_键盘控制器源码verilog源码,是一个不错的代码-ps2_ keyboard controller Verilog source code, is a good code
Platform: | Size: 13312 | Author: 高鹏 | Hits:

[VHDL-FPGA-VerilogPS2_LCD

Description: ps/2键盘输入,通过led显示ascii码 2、稍等1s可以在lcd上显示输入的字符
Platform: | Size: 344064 | Author: 张海风 | Hits:

[VHDL-FPGA-VerilogPS2_verilog_source

Description: 在vhdl开发环境下,关于协议PS2 verilog 源码-In VHDL development environment, with regard to the agreement PS2 verilog source code
Platform: | Size: 1024 | Author: clwclwclw | Hits:

[VHDL-FPGA-VerilogPS2_IP_CORE

Description: 该IP核是一个ps2键盘的源代码(vhdl语言)-The IP core is a ps2 keyboard source code (vhdl language)
Platform: | Size: 26624 | Author: liushui | Hits:

[VHDL-FPGA-Verilogaltera_up_avalon_ps2

Description: Altera公布的大学计划中基于VHDL的ps2的IP核!-Altera University Program announced VHDL-based IP core of the ps2!
Platform: | Size: 212992 | Author: 兔子 | Hits:

[assembly languagekeyboardVhdl

Description: 很好用的PS2键盘检测程序 愿意和大家分享 代码为VHDL格式的-Good use PS2 keyboard detection program is willing to share code for VHDL format
Platform: | Size: 1024 | Author: shbsr | Hits:

[VHDL-FPGA-Verilogpspro

Description: 计算机所用的键盘就是ps接口键盘,本设计是基于FPGA的ps2键盘接口设计,所用的编程语言是VHDL语言,已经通过了仿真,可以很好的实现功能-ps2keyboard interface with VHDL codes and it has useful
Platform: | Size: 1024 | Author: microeric | Hits:

[VHDL-FPGA-Verilogep1c6_34_ps2_mouse_test

Description: ps2 键盘的FPGA实现,用VHDL语言在epc16上测试通过-ps2 keyboard FPGA, VHDL, language epc16 on the test
Platform: | Size: 161792 | Author: yangshuzhi | Hits:

[VHDL-FPGA-VerilogPS2_CLOCK

Description: 用vhdl语言编写的时钟程序,可用ps2键盘调整时间,并有闹钟功能。-ps2 clock
Platform: | Size: 1204224 | Author: 王双 | Hits:

[VHDL-FPGA-VerilogPS_2

Description: ps2 键盘 VHDL源程序序 一个适合初学者的程序-ps2 keyboard VHDL source code sequence of a program for beginners
Platform: | Size: 227328 | Author: ping | Hits:

[VHDL-FPGA-VerilogPS2keyboard

Description: PS2键盘口扫描电路的实现 VHDL语言实现-PS2 keyboard port scanning circuit realization of VHDL language
Platform: | Size: 529408 | Author: Ivan_s | Hits:

[VHDL-FPGA-Verilogsuccess

Description: 各种FPGA初级入门程序(已调试通过),包括计数器、流水灯、7段数码管显示以及PS2键盘接口驱动,采用VHDL语言编写,适合初学者参考-Various FPGA primary entry procedures (already debugged), including the counter, water light, 7 segment LED display and PS2 keyboard interface driver, using VHDL language, suitable for beginners reference
Platform: | Size: 1449984 | Author: 王玉强 | Hits:

[VHDL-FPGA-VerilogPS-2shiyan

Description: ps2的实验,让读者了解ps2的原理,用vhdl实现它的方法,并学习vhdl的使用技巧-ps2 experiment ps2 the reader to understand the principle of the method to achieve it with vhdl and learn skills to use vhdl
Platform: | Size: 161792 | Author: | Hits:

[VHDL-FPGA-Verilogps2_rx

Description: 在VHDL里实现的ps2 键盘接口的接收端功能-Implemented in VHDL in the ps2 keyboard interface of the receiver functions
Platform: | Size: 1024 | Author: Hong Chen | Hits:

[VHDL-FPGA-VerilogPS_2_KEY

Description: 对PS2接口键盘的简单操作,利用VHDL语言编写,在Quartus 8.1环境下测试通过,可以建立波形文件做仿真实验-source code for PS2 keyboard
Platform: | Size: 58368 | Author: youungsky | Hits:

[VHDL-FPGA-Verilogps2_keyboard_interface_latest.tar

Description: ps2 key board vhdl code
Platform: | Size: 187392 | Author: chinna | Hits:
« 1 2 3 4 5 6 7 89 10 »

CodeBus www.codebus.net